登录
首页 » VHDL » 程序在报告中,要 用QuartusII运行,注意从word到运行环境中,可能有个别符号不兼容,重新在运行环境中输入那些符号就可以了...

程序在报告中,要 用QuartusII运行,注意从word到运行环境中,可能有个别符号不兼容,重新在运行环境中输入那些符号就可以了...

于 2022-07-17 发布 文件大小:5.90 kB
0 40
下载积分: 2 下载次数: 1

代码说明:

程序在报告中,要 用QuartusII运行,注意从word到运行环境中,可能有个别符号不兼容,重新在运行环境中输入那些符号就可以了-procedures in the report, with QuartusII operations, the attention to word from the operating environment, Some individual symbols are not compatible, the operating environment to re-enter those symbols on the

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 24小时时钟设计程序,含有时,分,秒的电路设计,基于VHDL语言,用Quartus 2程序实现。...
    24小时时钟设计程序,含有时,分,秒的电路设计,基于VHDL语言,用Quartus 2程序实现。-24-hour clock design process, with hour, minute, second circuit design, based on the VHDL language, using Quartus 2 program.
    2022-03-23 02:16:08下载
    积分:1
  • dds
    说明:  da的代码,在VHDL的编译环境下的开发。是一种集约的形式。(DA convert)
    2009-08-21 11:32:04下载
    积分:1
  • FPGA design of the guiding principles, it is classic! Want to give everyone easy
    FPGA设计的指导原则,很经典的!希望给大家方便-FPGA design of the guiding principles, it is classic! Want to give everyone easy
    2023-03-11 18:40:04下载
    积分:1
  • huxideng
    用Verilog实现的呼吸灯,用Verilog实现的呼吸灯(Verilog huxideng)
    2016-01-15 17:34:12下载
    积分:1
  • seven_lcd
    七段数码管显示的时钟程序VHDL代码 ISE编译环境(SEVEN seg VHDL ISE CLOCK)
    2009-12-08 11:09:15下载
    积分:1
  • dct1d核心的FPGA实现
    应用背景为了实现良好的压缩性能,相关性颜色分量RGB颜色空间转换到去相关的色彩空间首先减少。在基线JPEG,一个RGB图像转化成亮度chrominancc如YCbCr颜色空间。将图像的亮度色度空间的优势的亮度和色度分量非常不相关彼此之间。此外,色度通道包含大量冗余信息可以很容易地被采样不牺牲任何视觉质量对于重建图像。从RGB到YCbCr的转换,是基于以下的数学表达:关键技术应用DCT变换,将图像划分成8´8像素块。如果原始图像的宽度或高度是不能被8整除,编码器必须整除。8´8块进行处理,从左到右,从上到下。和公司;及;及;及;及;及;及;及;及;DCT变换的像素值的空间频率。这些空间频率是非常相关的细节目前在一个图像的水平。高空间频率对应于高层次的细节,而较低频率对应于较低的细节层次。数学定义DCT是:
    2022-07-03 22:27:28下载
    积分:1
  • VHDL的例子很多,没有试验,供大家参考
    很多VHDL例子,没有测试,供大家参考-VHDL many examples, there is no test, for your reference
    2022-02-03 19:06:54下载
    积分:1
  • fifo
    说明:  用FPGA完成256*8的存储器的读写操作( complete reading and writing 256* 8 memory with FPGA )
    2010-04-24 17:07:06下载
    积分:1
  • liushuideng
    使用430的四系点亮流水灯,内置有时钟函数,函数简单,值得一看(The four lines using 430 lit water lights, built-in clock function, the function is simple, eye-catcher)
    2013-08-31 15:23:06下载
    积分:1
  • AD
    说明:  FPGA控制AD7321的模块,是本人亲自试验过的。有Verilog源码,和简单文档。(FPGA control module of the AD7321 is personally tested. There Verilog source code, and simple document.)
    2009-08-18 20:31:53下载
    积分:1
  • 696522资源总数
  • 104047会员总数
  • 21今日下载