登录
首页 » VHDL » 跑马灯VHDL程序

跑马灯VHDL程序

于 2022-09-03 发布 文件大小:4.51 kB
0 46
下载积分: 2 下载次数: 1

代码说明:

跑马灯(几个LED灯动态闪烁,产生特定方波信号如01010111要用到单个LED灯,请尝试修改paomadeng程序完成)、数码管显示(例如在三个数码管上显示“sos”)、蜂鸣器、LED点阵显示等程序,现在综合如下,其中xx是按键防抖模块,可以不加。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696524资源总数
  • 103957会员总数
  • 51今日下载