-
非常好的VHDL音乐
library ieee;
use
ieee.std_logic_1164.all;
use
ieee.std_logic_unsigned.all;
entity song is
port(clk_4MHz,clk_4Hz:in std_logic;
----预置计数器和乐谱产生器的时钟
digit:buffer std_logic_vector(6 downto 0); ----高、中、低音数码管指示
zero:out std_logic_vector(4 downto 0); ----用于数码管高位置低
- 2022-12-29 04:50:03下载
- 积分:1
-
Tuart_tx_rxh
该工程用verilog编写,已通过串口调试助手调试通过,接收模块采采用8倍波特率采样数据,有较好的滤波功能,在PC上完成自发自收功能。
(The project is written in verilog debugging through serial debugging assistant, adopted 8 times the baud rate sampling data receiver module, better filtering done on the PC spontaneous self-closing function.)
- 2012-08-26 10:39:49下载
- 积分:1
-
Spartan 3E
这种设计允许您实验用脉冲宽度调制 (PWM) 由 PicoBlaze 处理器执行。作为提供,设计将允许您向控制 12 PWM 通道 ; 8 个通道控制板上的 8 个 Led 的强度和剩余的 4 通道上设有连接器 "J4" 你在哪
可以观察你应该对示波器的访问。你可能也喜欢尝试简单电阻电容 (RC) 平滑电路连接到接头引脚可创建附加数字信号到模拟 (D/A) 转换器或尝试控制马达通过驱动晶体管。
脉宽调制实现了 1 千赫和 8 位分辨率 (256 个步骤) 的脉冲重复频率 (PRF)。为每个 LED 或 "J4" 输出占空比可以独立使用简单的命令输入一个简单的终端程序在您的 PC 上设置 (超级终端是理想的)。
- 2023-08-09 11:30:04下载
- 积分:1
-
一种基于FPGA的通用微处理器设计
一种基于FPGA的通用微处理器设计-A general-purpose FPGA-based microprocessor designs ....
- 2022-03-24 23:27:29下载
- 积分:1
-
sdr
全数字OQPSK解调算法的研究及FPGA实现
论文介绍了OQPSK全数字接收解调原理和基于
软件无线电设计思想的全数字接收机的基本结构,详细阐述了当今OQPSK数字
解调中载波频率同步、载波相位同步、时钟同步和数据帧同步的一些常用算法,
并选择了相应算法构建了三种系统级的实现方案。通过MATLAB对解调方案的
仿真和性能分析,确定了FPGA中的系统实现方案。在此基础上,本文采用Verilog
HDL硬件描述语言在Altera公司的QuartusⅡ开发平台上设计了同步解调系统中
的各个模块,还对各模块和整个系统在ModelSim中进行了时序仿真验证,并对
设计中出现的问题进行了修正。最后,经过FPGA调试工具嵌入式逻辑分析仪
SignalTapⅡ的硬件实际测试,(The Research and FPGA Implement of All
Digital OQPSK Demodulation Algorithms
)
- 2020-06-30 18:00:01下载
- 积分:1
-
脉冲宽度调制,编码,包括QuartusII和ModelSim工程…
脉冲宽度调制,VHDL代码编写,包括QUARTUSII和MODELSIM工程以及testbench-Pulse width modulation, VHDL coding, including QUARTUSII and ModelSim engineering and Testbench
- 2023-05-09 12:15:03下载
- 积分:1
-
基本的usb驱动程序的编程方法,值得一看.
基本的usb驱动程序的编程方法,值得一看.-Basic programing method for USB driver, worth reading
- 2023-03-19 01:40:04下载
- 积分:1
-
PCI_SEND
通过PCI数据传输总线,实现PC到PCI板卡的高速数据传输,PCI总线使用9054芯片进行总线协议的转换(Realizing data transmission of PCI)
- 2017-12-11 14:34:00下载
- 积分:1
-
华为 Verilog基本电路设计指导书
说明: 华为 Verilog基本电路设计指导书--本文列举了大量的基本电路的Verilog HDL 代码,使初学者能够迅速熟悉基本的HDL 建模;同时也列举了一些常用电路的代码(Huawei Verilog basic circuit design instruction)
- 2020-07-04 11:00:01下载
- 积分:1
-
New-Folder
to learn bout development of vhdl code
- 2014-03-15 16:21:38下载
- 积分:1