登录
首页 » Verilog » 一种新型设计的可逆 2:4 译码器

一种新型设计的可逆 2:4 译码器

于 2023-03-10 发布 文件大小:4.47 kB
0 29
下载积分: 2 下载次数: 1

代码说明:

可逆的逻辑已收到

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • Ping_pong_Sparten3e-master
    说明:  FPGA实现乒乓球游戏 代码及仿真 VGA实现(FPGA realizes table tennis game code and simulation VGA implementation)
    2019-05-06 20:22:13下载
    积分:1
  • eth_send
    清华大学sdr项目,网口代码。Verilog编写。很实用。希望大家喜欢。(Tsinghua University sdr project, network interface code. Verilog preparation. Very practical. Hope you like it.)
    2010-09-26 14:43:28下载
    积分:1
  • Verilog
    说明:  基于FPGA的16QAM调制解调设计,以及仿真实现(Design of 16QAM Modulation and Demodulation Based on FPGA)
    2021-02-19 16:29:44下载
    积分:1
  • Time_setting
    时间设置 可以作为设计中的一个小模块进行使用 方便快捷(time setting)
    2012-03-30 10:12:28下载
    积分:1
  • 基于AHB总线的DMAC系统
    传输特点 1.传输size为字传输。(总线的字长为32位,每次传输32位数) 2.16拍的增量突发传输。 3.支持2个AHB接口,一个用来配置DMA内部寄存器, 4.采用独占总线的方法,当DMA占用总线时,CPU停止一切活动。 5.支持异步复位。          6.本次设计的MDA每次最多传输256个数据,每次总线传输最多传16个数据,   
    2022-04-06 13:34:13下载
    积分:1
  • CH03_RGMII_UDP_TEST
    基于RGMII的UDP网络数据通信,学习FPGA的千兆以太网通信(RGMII based UDP network data communication, learning FPGA Gigabit Ethernet communications)
    2017-09-11 23:04:19下载
    积分:1
  • dec2_4
    decoder 2-4 digital core
    2016-05-20 03:50:28下载
    积分:1
  • DE2_PS2_Debug
    这是altera公司的DE2-35开发板下的一个PS2键盘的源程序代码工程,包括PS2驱动等模块有需要的人,可以下载(Altera DE2-35 development board of the company, the source code of a PS2 keyboard works, including the the PS2 driver modules need, you can download)
    2012-10-19 20:55:20下载
    积分:1
  • Self-study-syllabus-VSC-HVDC
    Syllabus for VSC-HVDC course
    2012-08-24 12:49:16下载
    积分:1
  • cordic
    不同角度cordic在接收端的解调
    2023-04-02 09:10:04下载
    积分:1
  • 696524资源总数
  • 103945会员总数
  • 46今日下载