登录
首页 » VHDL » "Verilog HDL Design Guide" 4

"Verilog HDL Design Guide" 4

于 2023-06-21 发布 文件大小:4.09 kB
0 44
下载积分: 2 下载次数: 1

代码说明:

《Verilog HDL 程序设计教程》4-"Verilog HDL Design Guide" 4

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 通过vga通讯控制显示器显示七彩条文,通过quartus编译的程序,可用...
    通过vga通讯控制显示器显示七彩条文,通过quartus编译的程序,可用-Communication and Control through the vga display colorful provisions quartus compiled through the procedures that can be used
    2022-01-22 17:41:13下载
    积分:1
  • smartWasher
    QUARTER编程环境实现的智能洗衣机系统,通过DE0板子进行模拟,组要完成洗衣机5个步骤的顺序过程以及系统相应动作(QUARTER programming environment of intelligent washing system, through simulation DE0 board, groups 5 to complete the washing process and the system the sequence of steps corresponding action)
    2020-11-06 13:19:49下载
    积分:1
  • lcd1602
    艾米电子的液晶1602的Verilog语言程序 (Amy e-LCD 1602 of the Verilog language program)
    2010-10-26 11:20:49下载
    积分:1
  • 9. For the key to enter a password lock, assuming that reset after the seven lam...
    9对于输入密码锁的键,假设复位后七个灯显示" 0",使用sw1、sw2、sw3、sw4 4,只需按下并松开任意sw1、sw2键,使七个灯显示值加" 1",只要按下并松开任意sw3、sw4,将使七个灯显示值加" 2"
    2022-10-18 01:25:04下载
    积分:1
  • USB2.0的VHDL描述,很经典了,欢迎大家下载
    USB2.0的VHDL描述,很经典了,欢迎大家下载-USB2.0 the VHDL description, very classic, and welcomes everyone to download
    2023-04-17 09:30:03下载
    积分:1
  • 4x4-key
    4*4键盘小程序 两种算法内附检查LED(4* 4 keyboard applet containing two algorithms check the LED)
    2013-07-28 22:19:49下载
    积分:1
  • dp_xiliux the CPLD Verilog design experiments, 7 LED demo. code test.
    dp_xiliux 的 CPLD Verilog设计实验,7个LED演示.代码测试通过. -dp_xiliux the CPLD Verilog design experiments, 7 LED demo. code test.
    2023-03-22 17:40:04下载
    积分:1
  • cppOrbitTools
    tle转换为六根数的c++源代码,英文原版代码,测试可用(tle converted to six the number of c++ source code, the English original code, test available)
    2021-03-16 10:49:21下载
    积分:1
  • Fmc880511P
    可在FPGA上运行的8051 IP coore,是学习FPGA及SPOC的好资料。 (8051 IP coore, can be run on the FPGA is good information to learn FPGA and SPOC.)
    2012-06-11 18:59:13下载
    积分:1
  • RD1006
    RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006-- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and document contains tb-
    2023-07-29 23:55:03下载
    积分:1
  • 696524资源总数
  • 103838会员总数
  • 43今日下载