登录
首页 » VHDL » File name: ADC0809.vhd features: Based on the VHDL language, easy to control imp...

File name: ADC0809.vhd features: Based on the VHDL language, easy to control imp...

于 2023-07-04 发布 文件大小:1.13 kB
0 24
下载积分: 2 下载次数: 1

代码说明:

文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Description: ADC0809 internal clock does not need external 10KHz ~ 1290Hz clock number, here by the FPGA system clock (50MHz) frequency by 256 points to be clk1 (195KHz ) as the conversion ADC0809 clock job.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • SoC验证的方法和技巧
    SOC Verfication Methodology and Techniques
    2022-06-14 22:50:41下载
    积分:1
  • 采用VHDL编写的一个简单的UART
    采用VHDL编写的一个简单的UART-using VHDL prepared a simple UART
    2022-03-05 06:29:41下载
    积分:1
  • 18B20PLCD
    温度液晶显示演示程序 LCD数据线:P0口 LCD控制线:RS P20 RW P21 E P22 BUSY P07 18B20端口DQ :P27 (Temperature of liquid crystal display demo Data line: P0 LCD LCD RS P20 RW P21 control line: E P22 BUSY P07 18B20 DQ : P27 port )
    2011-12-03 23:04:34下载
    积分:1
  • 基于CPLD的交通信号灯的实现
    基于CPLD的交通信号灯的实现,使用VHDL语言,使用不同颜色的二极管分别代表红黄绿三种信号灯。在数码管上可以分别显示倒计时。
    2022-03-12 13:41:19下载
    积分:1
  • svpwm3
    说明:  基於空間向量調變的開關法,在於載波做比較切出方波再送至開關讓馬達啟動(Based on the switching method of space vector modulation, the square wave is cut out for carrier comparison and sent to the switch to start the moto)
    2019-01-04 16:07:37下载
    积分:1
  • 基于dds的波形发生器
    说明:  DDS的基本原理主要由五部分组成,分别是;相位累加器,正弦波形存储器,数模转换器,低通滤波器和时钟,将相位累加器输出的数据作为地址,用来查询表的数据,将取出的正弦数据通过数模转换器输出模拟信号,模拟信号再通过一个低通滤波器输出纯净的正弦波信号。(The basic principle of DDS is mainly composed of five parts: phase accumulator, sinusoidal waveform memory, digital to analog converter, low-pass filter and clock. The output data of phase accumulator is used as address to query the data of table. The extracted sinusoidal data is output analog signal through digital analog converter, and the analog signal is output pure sine through a low-pass filter Wave signal.)
    2020-09-16 23:34:30下载
    积分:1
  • HB1
    半带滤波器,用于sigma-delta DAC中的设计(Half-band filter for sigma-delta DAC design)
    2020-12-23 10:29:06下载
    积分:1
  • 一个cpu的vhdl语言程序。非常好的 一个cpu的vhdl语言程序。非常好的...
    一个cpu的vhdl语言程序。非常好的 一个cpu的vhdl语言程序。非常好的-A cpu of the VHDL language program. A very good cpu the VHDL language program. Very good
    2022-03-24 08:58:44下载
    积分:1
  • hardware-description
    工程实用观点,简单介绍集成电路的传统设计语言现状。(Practical engineering point of view, the traditional integrated circuit design brief language status.)
    2010-09-19 11:13:38下载
    积分:1
  • virtex7_pcie_dma
    FPGA开发PCIe的源码,采用VHDL语言,通过此源码,能更好的掌握PCIe总线,使开发者少走弯路,
    2023-01-25 04:55:04下载
    积分:1
  • 696524资源总数
  • 103920会员总数
  • 65今日下载