登录
首页 » VHDL » this come from alter ,you can look and find it on line about USB

this come from alter ,you can look and find it on line about USB

于 2023-09-06 发布 文件大小:86.88 kB
0 56
下载积分: 2 下载次数: 1

代码说明:

this come from alter ,you can look and find it on line about USB

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • quartus-ii-automatically-assign-pins
    quartus ii 中自动分配管脚的三种方法(quartus ii automatically assign pins are three ways)
    2012-03-31 17:12:54下载
    积分:1
  • 循环码的verilog编码程序
    (7,4)循环码的verilog编码程序,(7,4)循环码的verilog译码程序((7,4) cyclic code Verilog coding procedures, (7,4) cyclic code the verilog decoding procedure)
    2020-06-27 02:00:02下载
    积分:1
  • mealy_sequence
    实现米粒状态机 用verilog语言实现状态机的过程(Implement a state machine with a grain of rice verilog state machine language course)
    2011-11-09 19:02:27下载
    积分:1
  • DE2_115_NIOS_DEVICE_LED
    DE2-115开发板LED显示测试源码,对fpga开发者提供参考(DE2-115 development board LED display test source, provide a reference for fpga developer)
    2011-09-29 15:07:10下载
    积分:1
  • 移位相加硬件乘法器,基于FPGA的VHDL语言编写的,含有全部文件
    移位相加硬件乘法器,基于FPGA的VHDL语言编写的,含有全部文件-displacement add hardware multiplier, based on FPGA VHDL prepared, containing all the documents
    2022-06-19 21:07:11下载
    积分:1
  • 数字钟
    数字钟(Digital clock)
    2018-02-27 21:34:28下载
    积分:1
  • Xilinx vivado authoritative course
    Xilinx vivado 权威教程,清华大学出版社出版,何宾编著。(Xilinx vivado authoritative course, published by Tsinghua University Press, edited by He Bin.)
    2019-02-19 20:37:09下载
    积分:1
  • verilog实现的“六进制约翰逊计数器”。
    verilog实现的“六进制约翰逊计数器”。-verilog implementation of the " six hexadecimal Johnson counters."
    2022-05-10 11:02:11下载
    积分:1
  • MCU_V_PWM_16bit
    单片机通过总线,将占空比和频率送到CPLD/FPGA中,并控制PWM输出.采用Verilog HDL语言编写。(Microcontroller by bus, the duty cycle and frequency sent to the CPLD/FPGA in, and control the PWM output. Using Verilog HDL language.)
    2020-10-29 09:19:57下载
    积分:1
  • static-timing-analyze
    特权同学主讲的FPGA设计的时序约束专题(STA部分)(Speaker privileged classmates timing constraints for FPGA design topics (STA section))
    2013-07-11 13:23:46下载
    积分:1
  • 696524资源总数
  • 103791会员总数
  • 67今日下载