登录
首页 » VHDL » c语言编写51单片机键盘扫描程序,方便移植到其他的硬件上去...

c语言编写51单片机键盘扫描程序,方便移植到其他的硬件上去...

于 2023-09-08 发布 文件大小:16.97 kB
0 47
下载积分: 2 下载次数: 1

代码说明:

c语言编写51单片机键盘扫描程序,方便移植到其他的硬件上去-51 Singlechip c language keyboard scanning procedures for transplantation to other hardware up

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • stopwatch
    数字秒表的VHDL代码。当设计文件加载到目标器件后,设计的数字秒表从00-00-00开始计秒。,直到按下停止按键(按键开关S2)。数码管停止计秒。按下开始按键(按键开关S1),数码管继续进行计秒。按下复位按键(核心板上复位键)秒表从00-00-00重新开始计秒。(The VHDL code for digital stopwatch. When the design document loaded into the target device, the designed digital stopwatch count the seconds from the 00-00-00. Until you press stop key (key switch S2). Nixie tube stop count seconds. Press the start button (key switch S1), the digital control continue to count seconds. Press the reset button (core panel reset button) to restart the stopwatch count seconds from the 00-00-00.)
    2010-03-02 17:17:58下载
    积分:1
  • fpga超声波测距
    FPGA开发超声波测距,可改写工业探伤或倒车测距等系统,quartus2下选择EP2C5Q208C8(CycloneⅡ) 支持目前淘宝上能买到的所有4-5针超声波模块 应用cycloneⅡ自带除法模块 开发板为有光技术YG2.1 生成电路规模较小 !!注意:移植程序仅需重新约束数码管和超声波模块的针脚 (Ultrasonic Ranging FPGA development, industrial inspection or reverse rewritable ranging systems, EP2C5Q208C8 (CycloneⅡ) under quartus2 4-5 needle ultrasonic module supports all currently scouring the treasure can buy Applications cycloneⅡ own division module Development board bright technical YG2.1 Small scale generating circuit ! ! Note: The migration program only re-constraint digital and ultrasonic modules Pin)
    2022-07-17 19:43:35下载
    积分:1
  • reader
    实现verilog读写txt文件,从sut.txt从读取数据,进行操作后,写入out.txt(Realize verilog read and write txt file)
    2020-11-15 21:29:41下载
    积分:1
  • 交通灯的VERILOG实现,含详细中文注释.
    交通灯的VERILOG实现,含详细中文注释.-Verilog realize traffic lights, with detailed notes in Chinese.
    2022-05-15 02:49:07下载
    积分:1
  • gtx
    说明:  ip core of the transceiver gtx
    2019-04-02 00:10:03下载
    积分:1
  • cnt60
    六十进制计数器,VHDL编写的计数器,本科电子的可能有些实验可以用到(counter Possible experiments of undergraduate electronics can be used)
    2021-04-07 11:59:01下载
    积分:1
  • turbo[1].tar
    turbo码的verilog程序,有意者请下载。(turbo code verilog procedures Interested parties please download.)
    2021-01-14 17:58:46下载
    积分:1
  • This code implements the output shift register functions, beginners can learn to...
    本代码实现了输出移位寄存器功能,初学者可以借鉴学习-This code implements the output shift register functions, beginners can learn to learn
    2022-06-20 09:32:02下载
    积分:1
  • 16位元浮点数CPU,可作运算,以VHDL编写
    16位元浮点数CPU,可作运算,以VHDL编写-16-bit floating point CPU, can be used for computing in order to prepare VHDL
    2022-05-17 06:20:07下载
    积分:1
  • Can be directly downloaded to the chip used in the complete UART with FIFO proce...
    可以直接下载到芯片用的带有FIFO的完全UART程序,vhdl语言编写。-Can be directly downloaded to the chip used in the complete UART with FIFO procedures, vhdl language.
    2022-05-23 23:16:30下载
    积分:1
  • 696524资源总数
  • 103791会员总数
  • 67今日下载