登录
首页 » VHDL » xmatchpro无损压缩

xmatchpro无损压缩

于 2022-04-09 发布 文件大小:601.95 kB
0 36
下载积分: 2 下载次数: 1

代码说明:

应用背景这个无损数据压缩系统的xmatchpro算法 衍生物;从来源 ; ;以前的研究 ; ;的 ; ;作者 ;和 ;在进步 ; ;FPGA技术。使用这种技术提供的灵活性是非常大的兴趣,因为芯片可以容易适应特定应用的要求。这个在一定的弊端; ; ; ;以前的 ;方法是 ; ;克服了 ; ;使用 ; ;  xmatchpro  ;算法;设计。的目标,然后以获得更好的压缩比,并仍然保持高吞吐量和高吞吐量,因此,这和,压缩/解压缩和,过程和,不,不,慢和,原系统的。关键技术UNIX的 ; ;实用 ;“压缩” ;采用lempel-ziv-2  ; ; ;(LZ2)算法和 ; ; ;数据Lempel-Ziv压缩 ; ; ;(dclz)的家庭 ; ;压缩机 ;最初发明 ; ;的 ;休利特—目前帕卡德和 ; ;& nbsp;通过开发 ; ;啊哈[ 17 ],[ 18 ]  ;使用也 ; ;LZ2  ;衍生物。邦顿 ;和 ;博列洛 ;另一本 ; ;LZ2  ;在实施 ; ;[ 19 ]  ;, ;提高 ;在 ;数据压缩Lempel-Ziv算法。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • crc16CCITT
    自己用verilog编写的crc16-ccitt码的产生,是并行的。(Crc16-ccitt code written in verilog generate parallel.)
    2012-12-13 09:46:58下载
    积分:1
  • VisonFly-D4100-SDK
    DLP Discovery 4100 数字微镜(DMD)空间光开关光调制器开发系统 1.全面兼容德州仪器TI DLP D4100 开发系统. 能够支持1920X1080 DMD(DMD微镜为10.6微米,本征分辨率为1920X1080) 数字微镜(DMD)空间光开关光调制器开发系统 2. 1024 X 768 的DMD(4:3)有两种微镜结构,一种是13.68 微米, 对角线长度为0.7 英寸;另一种是10.8 微米的,对角线长度为0.55 英寸;我们系统都能支持所有主流分辨率DMD 3. 支持USB2.0 高速度传输图片和控制信号 4. 开放式控制软件基于Windows XP 全速度USB驱动,在Visual Basic 下编制,开发式接口, 易于高精度光学科研实验 5. 提供丰富的Windows XP 的USB 控制程序和API 开发系统 6. 支持XGA, 1080p 和1920x1200 分辨率单个微镜精确控制 7. 开放式FPGA 架构, 提供示例FPGA 的二次开发选择和客户 定制功能 8. 高速二进和任意灰度制图片显示 输入输出系统触发,支持通 用客户顶GPIO 口设置. 9. 我们能为客户提供全程独特定做和设计服务. 应用: 结构光投影,激光全息,无掩模光刻,高光谱成像,激光光束校形, 3D 测量和3D 打印机技术, 光谱分析. Jefferson_zhao@163.com(DLP DMD Discovery 4100)
    2014-01-20 16:07:15下载
    积分:1
  • 数字电路 贪食蛇游戏
    VHDL     贪食蛇游戏用游戏把子上下左右控制蛇的方向,寻找吃的东西,每吃一口就能得到一定的积分,而且蛇的身子会越吃越长,身子越长玩的难度就越大,不能碰墙,不能咬到自己的身体,更不能咬自己的尾巴,等到了一定的分数,就能过关,然后继续玩下一关。
    2022-03-17 03:15:55下载
    积分:1
  • DDS波形发生器
    DDS波形生成器verilog语言书写(FPGA型号cy4以上)(DDS generate verilog)
    2017-07-17 22:25:11下载
    积分:1
  • High Speed dd
    说明:  (Springer Series in Advanced Microelectronics 51) Ayan Palchaudhuri, Rajat Subhra Chakraborty (auth.)-High Performance Integer Arithmetic Circuit Design on FPGA_ Architecture, Implementation and Desig
    2020-06-24 08:40:01下载
    积分:1
  • -
    2022-03-20 08:41:04下载
    积分:1
  • VHDL数字系统设计和工程实践6,包含原理,真值表和原理图,以及VHDL源代码....
    VHDL数字系统设计和工程实践6,包含原理,真值表和原理图,以及VHDL源代码.-VHDL digital system design and engineering practice, six, including the principles, truth table and schematic, as well as VHDL source code.
    2022-08-03 02:10:09下载
    积分:1
  • wom_kg
    ϵͳʱ
    2006-03-13 15:09:50下载
    积分:1
  • 位同步例程源代码,FPGA应用领域,Verilog
    位同步例程源代码,FPGA应用领域,Verilog-Bit synchronization routines source code, FPGA applications, Verilog
    2022-03-25 15:19:48下载
    积分:1
  • s3esk_picoblaze_dac_control
    This is the Spartan 3E tutorial_02.
    2017-08-07 13:54:36下载
    积分:1
  • 696524资源总数
  • 103827会员总数
  • 23今日下载