登录
首页 » VHDL » 基于FPGA的ELM仿真

基于FPGA的ELM仿真

于 2022-07-18 发布 文件大小:364.54 kB
0 34
下载积分: 2 下载次数: 1

代码说明:

Efficient_Digital_Implementation_of_Extreme_Learning_Machines_for_Classification

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 基于Verilog的PCI总线接口的设计及应用
    基于Verilog的PCI总线接口的设计及应用-Verilog-based PCI-bus interface design and application.
    2023-01-05 03:35:06下载
    积分:1
  • the-verilog-code-of-can-usb-i2c
    CAN总线,I2C,USB等的FPGA实现源码(CAN bus, I2C, USB, etc. FPGA implementation source)
    2012-12-15 01:25:33下载
    积分:1
  • 本文为verilog的源代码
    本文为verilog的源代码-In this paper, the source code for Verilog
    2022-01-24 19:02:52下载
    积分:1
  • 设计并制作一个14键单音电子琴预先存入一些曲谱电路在4Hz的时钟控制下自动播放 通过220V电源适配器给电路提供工作电源...
    设计并制作一个14键单音电子琴预先存入一些曲谱电路在4Hz的时钟控制下自动播放 通过220V电源适配器给电路提供工作电源-Design and production of a 14-key electric piano tone into a number of music scores advance in 4Hz clock circuit under the control of automatic play through 220V power adapter to provide power to the circuit
    2022-02-12 16:37:51下载
    积分:1
  • verilog 232串口收发程序 在开发板上测试成功过
    verilog 232串口收发程序 在开发板上测试成功过-verilog 232 serial port transceiver program already had some success in the development of on-board test ^ ^
    2022-02-11 11:33:57下载
    积分:1
  • codelock
    说明:  用VHDL实现密码锁功能,用状态机实现,分管理员和用户两种功能,可分别修改密码,重置密码等。(codelock,VHDL,state)
    2010-03-19 13:32:14下载
    积分:1
  • xa880
    Join repetitive control, Very convenient to use, Iterative self-organizing data analysis.
    2017-07-30 23:02:42下载
    积分:1
  • -
    2022-03-20 08:41:04下载
    积分:1
  • dct1d核心的FPGA实现
    应用背景为了实现良好的压缩性能,相关性颜色分量RGB颜色空间转换到去相关的色彩空间首先减少。在基线JPEG,一个RGB图像转化成亮度chrominancc如YCbCr颜色空间。将图像的亮度色度空间的优势的亮度和色度分量非常不相关彼此之间。此外,色度通道包含大量冗余信息可以很容易地被采样不牺牲任何视觉质量对于重建图像。从RGB到YCbCr的转换,是基于以下的数学表达:关键技术应用DCT变换,将图像划分成8´8像素块。如果原始图像的宽度或高度是不能被8整除,编码器必须整除。8´8块进行处理,从左到右,从上到下。和公司;及;及;及;及;及;及;及;及;DCT变换的像素值的空间频率。这些空间频率是非常相关的细节目前在一个图像的水平。高空间频率对应于高层次的细节,而较低频率对应于较低的细节层次。数学定义DCT是:
    2022-07-03 22:27:28下载
    积分:1
  • CYUSB3.0
    USB3.0开发板资料,采用CYUSB3.0(USB3.0 development board, using CYUSB3.0)
    2014-02-18 08:19:00下载
    积分:1
  • 696522资源总数
  • 104029会员总数
  • 31今日下载