登录
首页 » VHDL » 波形发生器,用于编写testbentch文件。非常实用

波形发生器,用于编写testbentch文件。非常实用

于 2022-10-22 发布 文件大小:556.83 kB
0 144
下载积分: 2 下载次数: 1

代码说明:

波形发生器,用于编写testbentch文件。非常实用-Waveform generator, for the preparation of testbentch files. Useful

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • MotorV2
    基于PID 控制算法的直流电机控制,输出PWM波,很容易用(motor control)
    2011-04-21 23:48:06下载
    积分:1
  • 135个经典VerilogHDL源码和说明文档,入门的好资料
    135个经典VerilogHDL源码和说明文档,入门的好资料-135 Classic VerilogHDL source and documentation, a good data entry
    2022-01-20 23:10:53下载
    积分:1
  • 本文介绍了使用verilog语言进行硬件设计的一些基本技巧
    本文介绍了使用verilog语言进行硬件设计的一些基本技巧-This paper describes the use of Verilog hardware design language, the basic skills
    2022-04-08 11:38:23下载
    积分:1
  • 直接频率合成,Quicklogic提供,部分源文件是Quicklogic 专用文件
    直接频率合成,Quicklogic提供,部分源文件是Quicklogic 专用文件-direct frequency synthesis, pioneered provide some source document is dedicated ESP
    2022-01-25 17:31:05下载
    积分:1
  • 用verilog语言实现的huffman编码源程序
    本压缩包,包换一个用verilog语言实现的huffman编码源程序,同时给出了众多论文和基础知识的文档资料,一应俱全。(The compression package, shifting one using huffman coding verilog language source code, and gives basic knowledge of many papers and documentation, everything.)
    2013-09-11 10:55:28下载
    积分:1
  • Xilinx ISE License
    说明:  Xilinx ISE License集合,包含Vivado、ise的破解license,安装ISE后loading license即可完成,最全的器件库(Xilinx ise license Collection, including Vivado and ISE cracking licenses. After ISE is installed, the loading license can be completed, which is the most complete device library.)
    2021-01-19 23:28:43下载
    积分:1
  • 基于sopc ep2c5开发板的液晶字符显示例程
    基于sopc ep2c5开发板的液晶字符显示例程-Sopc ep2c5 development board based on liquid crystal character display routine
    2022-05-24 11:31:06下载
    积分:1
  • 以VHDL为第一通用代码的N位加法器
    32位加法器作为VHDL编写的第一个代码;
    2023-08-19 21:05:03下载
    积分:1
  • 这是一个在Quartus II软件中编写的vhdl程序。程序下载后可用蜂鸣器播放音乐...
    这是一个在Quartus II软件中编写的vhdl程序。程序下载后可用蜂鸣器播放音乐 -This is a Quartus II software in the preparation of the VHDL program. After the buzzer can be used to download music player
    2022-06-14 11:30:29下载
    积分:1
  • 非常好的VHDL音乐
    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  
    2022-12-29 04:50:03下载
    积分:1
  • 696518资源总数
  • 105895会员总数
  • 18今日下载