登录
首页 » VHDL » RS232 data transmitter, suitable for beginners VHDL reference

RS232 data transmitter, suitable for beginners VHDL reference

于 2022-03-15 发布 文件大小:4.31 kB
0 49
下载积分: 2 下载次数: 1

代码说明:

RS232数据发送器,适合于VHDL的初学者参考-RS232 data transmitter, suitable for beginners VHDL reference

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • Writing-Testbenches-using-System-Verilog
    writing testbench in system verilog
    2011-12-11 06:02:47下载
    积分:1
  • 基于Verilog的2FSK的性能
    对信号实现2FSK调制,2FSK就是用数字信号去调制载波的频率(移频键控),是信息传输中使用得较早的一种调制方式。它的主要优点是:实现起来较容易;抗噪声与抗衰减的性能较好;在中低速数据传输中得到广泛的应用。-the performance of 2FSK based on verilog
    2022-09-18 22:45:03下载
    积分:1
  • 这里收录的是《VHDL基础及经典实例开发》一书中12个大型实例的源程序。为方便读者使用,介绍如下: Chapter3:schematic和vhdl文件夹,分...
    这里收录的是《VHDL基础及经典实例开发》一书中12个大型实例的源程序。为方便读者使用,介绍如下: Chapter3:schematic和vhdl文件夹,分别是数字钟设计的原理图文件和VHDL程序; Chapter4:multiplier文件夹,串并乘法器设计程序(提示:先编译程序包); Chapter5:sci文件夹,串行通信接口设计程序; Chapter6:watchdog文件夹,看门狗设计程序; Chapter7:taxi文件夹,出租车计价器设计程序; Chapter8:elevator文件夹,高层电梯控制器设计程序; Chapter9:cymometer1和cymometer2文件夹,前者是计数测频设计程序,后者是等精度测频设计程序; Chapter10:digital_lock文件夹,数字密码锁设计程序; Chapter11:I2C文件夹,I2C控制器设计程序; Chapter12:fifo文件夹,异步FIFO设计程序; Chapter13:dds文件夹,数字频率合成设计程序; Chapter14:vLA文件夹,虚拟逻辑分析仪设计程序。 -this book includes 12 detail examples of the source program
    2023-04-08 00:15:03下载
    积分:1
  • stopwatch_if
    用IF语句实现秒表功能的代码,显示范围在000至99.9.(Stopwatch function code with the IF statement, displayed in the range of 000 to 99.9.)
    2015-07-13 22:33:36下载
    积分:1
  • m73a_nand_model
    说明:  Micron公司m73a系列nand flash仿真模型及测试文件(micron m73a series nand flash simulation model and testbench)
    2011-03-25 22:17:32下载
    积分:1
  • floatadd
    说明:  浮点数加法器的源代码,实现浮点数的加法功能,浮点数遵循的是IEEE745标准(floating_piont addition)
    2021-04-06 18:19:02下载
    积分:1
  • 基于Verilog 的电子日历与电子时钟程序,可以进行调日期、星期、时间的分钟与小时,通过几种模式来显示日历与时间。...
    基于Verilog 的电子日历与电子时钟程序,可以进行调日期、星期、时间的分钟与小时,通过几种模式来显示日历与时间。-Verilog-based electronic calendar and e-clock procedures, can be adjusted date, week, time of minutes and hours, through several models to display a calendar and time.
    2022-02-02 07:03:46下载
    积分:1
  • writereadflash
    这个是用VHDL实现FPGA对FLASH的读写。(This is achieved using VHDL FLASH FPGA to read and write.)
    2013-07-14 22:06:38下载
    积分:1
  • pcm
    利用VHDL语言和模块化设计实现PCM编译码的功能,整体工程和代码全有。(PCM encode and decode by VHDL in Quartus2. )
    2020-11-02 10:39:53下载
    积分:1
  • I2C配置tvp5150用VHDL写的
    I2C配置tvp5150用VHDL写的 -I2C configuration tvp5150 written using VHDL
    2023-05-02 14:30:04下载
    积分:1
  • 696522资源总数
  • 104044会员总数
  • 20今日下载