登录

最新会员 最新下载

成为了本站VIP会员

05月13日 14:43

成为了本站VIP会员

05月13日 10:19

成为了本站VIP会员

05月12日 14:03

成为了本站VIP会员

05月10日 21:42

成为了本站VIP会员

05月10日 16:59

成为了本站VIP会员

05月09日 16:51
已选条件
  1. 编程语言:VHDL
  2. 代码类别:所有
  3. 发布时间:今天
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. 这是一个交通灯控制的VHDL程序,用于maxplus平台,适合于EDA设计...

这是一个交通灯控制的VHDL程序,用于maxplus平台,适合于EDA设计-This is a traffic light control, VHDL program for maxplus platform, suitable for EDA Design

1
下载
16
浏览
2023-05-27发布

2. 子字节的有效执行

应用背景此文件包括执行与数学计算的子字节。查找表和组合方法已被包括在内。关键技术Xilinx XC3S400 VHDL编程语言已经使用这些代码。

1
下载
19
浏览
2023-05-26发布

3. FIR滤波器的基本Verilog代码实现

FIR filter basic verilog code for implementation-FIR filter basic verilog code for implementation

1
下载
43
浏览
2023-05-26发布

4. FIR filter basic verilog code for implementation

FIR filter basic verilog code for implementation-FIR filter basic verilog code for implementation

1
下载
20
浏览
2023-05-26发布

5. CGRA加密算法可重构

工作机制如下: 1、 系统上电,配置信息由片外加载到片上配置存储器中; 2、 执行某算法前,将此算法所有的配置包写入到配置包存储器中(配置包存储器包含在配置解析单元中); 3、 配置解析单元解析配置索引,从配置存储器中选择相应的配置对可重构阵列及功能模块进行配置; 4、 阵列从外部中读取数据进行计算,计算结果写出到密文寄存器中; 5、 可重构阵列与功能模块计算的中间结果数据只与通用寄存器堆进行交互; 6、 阵列计算的中间结果通过通用寄存器堆缓存;

1
下载
20
浏览
2023-05-25发布

6. 是用VHDL语言写的对A/D转换模块的控制程序,希望对大家有帮助。...

是用VHDL语言写的对A/D转换模块的控制程序,希望对大家有帮助。-VHDL language is used on the A/D conversion module control procedures, in the hope that everyone has to help.

1
下载
22
浏览
2023-05-25发布

7. vhdl实现vga接口设计,非常实用的一段代码,学习用

vhdl实现vga接口设计,非常实用的一段代码,学习用-vhdl achieve vga interface design

1
下载
21
浏览
2023-05-25发布

8. 计时器程序设计

利用Quartus 综合简单的计时器功能,欢迎大家下载、参考。谢谢大家的支持!

1
下载
19
浏览
2023-05-24发布

9. 3-8译码器实验

3-8译码器实验,用三个拨码开关控制8个LED中某一个点亮

1
下载
19
浏览
2023-05-23发布

10. 用eda做循环彩灯

这是我们eda的课程大作业,用quartus仿真循环彩灯并下到板子里能完美的运行出四种波形。工程比较完整,希望对大家有帮助。

1
下载
19
浏览
2023-05-23发布

11. 用verilog HDL语言,通过一个4位移位寄存器实现一个信号转化为HDB3码并进行测试...

用verilog HDL语言,通过一个4位移位寄存器实现一个信号转化为HDB3码并进行测试 -Using verilog HDL language, through a 4-bit shift register realization of a signal into HDB3 code and test

1
下载
19
浏览
2023-05-23发布

12. 频率计,VERILOG代码,含详细 中文注释.

频率计,VERILOG代码,含详细 中文注释.-Cymometer, VERILOG code, containing a detailed Chinese Notes.

1
下载
30
浏览
2023-05-22发布

13. 收集的QuartusII的使用手册,包含了几个pdf文件,比较不错的参考手册...

收集的QuartusII的使用手册,包含了几个pdf文件,比较不错的参考手册-Collected QuartusII s manual contains a number of pdf files, compare a good reference manual

1
下载
45
浏览
2023-05-22发布

14. MIPS处理器的组员大作业,可以直接运行,提交,环境是quartus

MIPS处理器的组员大作业,可以直接运行,提交,环境是quartus-MIPS processor crew great job, you can run directly, the author, the environment is quartusII

1
下载
37
浏览
2023-05-21发布

15. LZRW1 VHDL语言,有有下

lzrw1算法,VHDL语言,不带TB。模块验证,自己写TB文件

1
下载
19
浏览
2023-05-21发布

17. This is a JPEG codec the VHDL code

这是一个JPEG的编解码的VHDL程序代码-This is a JPEG codec the VHDL code

1
下载
21
浏览
2023-05-21发布

18. ModelSim waveform can be compared to the current functional simulation with a re...

ModelSim的波形比较的功能可以将当前仿真与一个参考数据(WLF文件)进行比较,比较的结果可以在波形窗口或者列表窗口中查看,也可以将比较的结果生成一个文本文件-ModelSim waveform can be compared to the current functional simulation with a reference (WLF paper ), the results can be compared in the waveform window or window List View, it will also compare the results generate a text file

1
下载
25
浏览
2023-05-21发布

19. 用VHDL语言编写的一个16分频器,也在修订过程中任意2…

利用VHDL语言编写的一个16分频器,另外可以在程序中修改为任意2N的分频器-use VHDL prepared a 16 dividers, Also in the revision process to be arbitrary 2 N Divider

1
下载
60
浏览
2023-05-20发布

20. VHDL of many examples, including the LED, lcd, keypad, digital control and so on...

vhdl的很多例子,包括LED、lcd、按键、数码管等等,非常的实用。-VHDL of many examples, including the LED, lcd, keypad, digital control and so on, very practical.

1
下载
19
浏览
2023-05-20发布