登录

最新会员 最新下载

成为了本站VIP会员

05月31日 10:38

成为了本站VIP会员

05月31日 09:22

成为了本站VIP会员

05月28日 17:59

成为了本站VIP会员

05月26日 21:52

成为了本站VIP会员

05月25日 20:47

成为了本站VIP会员

05月24日 22:16
已选条件
  1. 编程语言:VHDL
  2. 代码类别:所有
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. Vhdl 语言中 16 位时间域卷积

卷积是在数字信号处理的常见操作。在此项目中,我创建了自定义电路利用大量的并行机制以提高性能与微处理器相比在 Nallatech 主板上实施。卷积将作为输入信号和 kernell 输出是另一个信号,输出信号的每个元素在哪里乘以内核的与输入信号的相应元素的所有元素组成的产品的总和。16 位无符号整数操作使用、 FPGA 将在 SRAM 中存储的输入的信号并将读取在内核中通过内存映射。

1
下载
33
浏览
2023-04-06发布

2. Discrete cosine transform and inverse discrete cosine transform of the HDL code...

离散余弦变换及反离散余弦变换的HDL代码及测试文件。包括VHDL及Verilog版本。可用途JPEG及MEPG压缩算法。-Discrete cosine transform and inverse discrete cosine transform of the HDL code and test files. Including VHDL and Verilog versions. And MEPG can use JPEG compression algorithm.

1
下载
28
浏览
2023-04-06发布

3. VHDL 的4*4键盘代码

VHDL 的4*4键盘代码-VHDL 4* 4 keyboard code

1
下载
45
浏览
2023-04-05发布

4. mealy fsm 和moore fsm

mealy fsm å’Œmoore fsm-mealy Fsm and moore Fsm

1
下载
20
浏览
2023-04-04发布

5. VHDL development of the baseball game, in QuartusII environment compiler, apply...

用VHDL开发的棒球游戏,可以在QuartusII环境下编译,适用于各种FPGA开发板。-VHDL development of the baseball game, in QuartusII environment compiler, apply to all FPGA development board.

1
下载
25
浏览
2023-04-04发布

6. Spartan 3E

这种设计将允许您调查是 1k 位保护与SHA-1 发动机内部 EEPROM 的达拉斯半导体 DS2432 装置。该装置具有一个有趣的 1 线界面,用来提供电力和双向的沟通。设计采用 PicoBlaze 来执行所有 1 有线通信协议和您通过 RS232 串行端口的 PC 上提供简单的用户界面 (使用超级终端或类似)。一些 DS2432 命令都完全支持的而其他人可以进行调查,使用简单的字节写入和读取字节的选项。

1
下载
33
浏览
2023-04-04发布

7. 标准的异步串口通讯设计程序――基于VHDL编程

标准的异步串口通讯设计程序――基于VHDL编程-communication design programme of standard asynchronous serial port base on VHDL programme

2
下载
29
浏览
2023-04-04发布

8. This is a debugging software online CPLD. Be able to meet the general requiremen...

这是一款CPLD的在线调试软件。能够满足用于学习者的一般要求。-This is a debugging software online CPLD. Be able to meet the general requirements for learners.

1
下载
29
浏览
2023-04-04发布

9. 流水线乘法器的VHDL实现,希望对你会有用!

流水线乘法器的VHDL实现,希望对你会有用!-Pipelined multiplier in VHDL implementation, you will want to use!

1
下载
39
浏览
2023-04-03发布

10. VGA采用Spartan 3E板系统的VHDL

Vga in vhdl using spartan 3e board basys

1
下载
30
浏览
2023-04-03发布

12. The use of Altera' s FPGA

使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上用硬件描述语言实现一个RAM存储器。-The use of Altera" s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board with hardware description language to achieve a RAM memory.

1
下载
26
浏览
2023-04-02发布

14. 用verilong hdl语言编写的数据采样程序,A/D采用的是TLC5260

用verilong hdl语言编写的数据采样程序,A/D采用的是TLC5260-Verilong hdl language used data sampling procedures, A/D using the TLC5260

1
下载
34
浏览
2023-04-01发布

15. 基于VHDL语言的并串转换程序,有四位的并行输出转换为串行输出...

基于VHDL语言的并串转换程序,有四位的并行输出转换为串行输出-Based on the VHDL language and string conversion process, there are four parallel output is converted to serial output

1
下载
23
浏览
2023-03-31发布

16. vhdl数据通路

用于实现fpag-cpu的数据通路源代码。用vhdl编写,包括内存,寄存器组,和alu(简陋的alu,仅包含加法器)三大部分通过手动微指令输入信号,可以往内存写入数据,并加载到寄存器组中,通过alu产生结果,结果保存在一个锁存器中,可以把结果写回寄存器组或者内存。本人在quartus下编写仿真通过。附件中未给出相关工程文件。

1
下载
38
浏览
2023-03-31发布

17. VHDL数字系统设计和工程实践3,包含原理,真值表和原理图,以及VHDL源代码....

VHDL数字系统设计和工程实践3,包含原理,真值表和原理图,以及VHDL源代码.-VHDL digital system design and engineering practice, 3, including the principles, truth table and schematic, as well as VHDL source code.

1
下载
24
浏览
2023-03-31发布

18. 7485比较器

mux2to1.vhd二选一电路mux2_1.vhd二选一电路mux2_1.bdf二选一电路mux3to1.vhd三选择电路mux3to1_1.vhd三选一选一个电路mux4to1.vhd 4

1
下载
53
浏览
2023-03-31发布

19. micron Nand flash控制器

micron公司提供的控制器,很具有参考性质,用flash的童鞋可以下载参考,含有ECC功能

2
下载
42
浏览
2023-03-30发布

20. cic_dec_8_three CIC 文件的VHDL

cic_dec_8_three CIC 文件的VHDL-cic_dec_8_threeCIC documents VHDL

1
下载
45
浏览
2023-03-30发布