登录

最新会员 最新下载

成为了本站VIP会员

05月13日 14:43

成为了本站VIP会员

05月13日 10:19

成为了本站VIP会员

05月12日 14:03

成为了本站VIP会员

05月10日 21:42

成为了本站VIP会员

05月10日 16:59

成为了本站VIP会员

05月09日 16:51
已选条件
  1. 编程语言:VHDL
  2. 代码类别:所有
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. I2C控制器源代码,Verilog HDL语言,可以直接调用

I2C控制器的源代码,Verilog HDL语言编写,可以直接调用-I2C controller source code, Verilog HDL language, you can directly call

1
下载
23
浏览
2023-04-28发布

2. a cycle ruduandency code

实现一个循环冗余码,是老师给的例子,别的同学已经验证-a cycle ruduandency code

1
下载
30
浏览
2023-04-27发布

3. 用VHDL语言编写的一个控制程序,主要功能是输入码同步,输出字和帧信号...

用VHDL语言编写的一个控制程序,主要功能是输入码同步,输出字和帧信号-VHDL language using a control program, the main function is to input code synchronization, and frame signals output word

1
下载
23
浏览
2023-04-27发布

4. io 组件,用vhdl实现io端口的控制,包括输入输出,握手信号,...

io 组件,用vhdl实现io端口的控制,包括输入输出,握手信号,-io port VHDL code

1
下载
21
浏览
2023-04-27发布

5. the CD

本CD-ROM包括《Verilog-HDL实践与应用系统设计》一书中的全部例子,这些例子全部通过了验证。第七章以后的设计实例,不仅有Verilog-HDL的例子,也附了包括VB、VC++等源程序,甚至将DLL的生成方法也详尽地作了说明。 -the CD-ROM include "Verilog-HDL Practice and Application System Design," a book the whole Examples of these examples were passed certification. After the seventh chapter, a design example is not only Verilog-HDL example, the report include VB, VC and other source files, even DLL generator also described in detail.

1
下载
19
浏览
2023-04-27发布

6. Verilog代码转换到AHB总线APB

verilog code for apb to ahb convert

2
下载
32
浏览
2023-04-27发布

7. VHDL,verilog串并转换源程序 Xilinx公司参考资料

VHDL,verilog串并转换源程序 Xilinx公司参考资料-VHDL, verilog Series and conversion company Xilinx reference source

1
下载
27
浏览
2023-04-26发布

8. protel fpga library a popular package is very difficult to find the

protel fpga常用封装库1,非常难找的-protel fpga library a popular package is very difficult to find the

1
下载
19
浏览
2023-04-26发布

9. Traffic Light controller

此代码帮助您在spartan系列fpga上设计交通灯控制器,并使用FSM模型进行设计,使设计更简单,也更易于理解;

2
下载
25
浏览
2023-04-26发布

10. TIMING LEARNING

TIMING LEARNING -TIMING LEARNING

1
下载
26
浏览
2023-04-26发布

11. 该文件用在CPLD上的,和C语言很接近,5位的计数器一个。

该文件用在CPLD上的,和C语言很接近,5位的计数器一个。-the documents on the CPLD, and the C language is close to that of the five counters one.

1
下载
23
浏览
2023-04-25发布

12. FPGA Verilog HDL模拟IIC通讯接口

FPGA Verilog HDL模拟IIC通讯接口-FPGA Verilog HDL IIC Interface

1
下载
25
浏览
2023-04-25发布

13. 基于FPGA的技术溢出研究程序,只是一个测试程序,大家可以下着用一下。...

基于FPGA的技术溢出研究程序,只是一个测试程序,大家可以下着用一下。-FPGA-based research process of technology spillovers is only a test procedure, we can next look forward to using.

1
下载
19
浏览
2023-04-25发布

14. 这是使用VHDL语言编写的密码锁程序,供大家参考

这是使用VHDL语言编写的密码锁程序,供大家参考-This is the use of the VHDL code lock preparation procedures for reference

1
下载
17
浏览
2023-04-25发布

15. 使用VHDL高级Turbo解码器

在信息论中,Turbo码(最初在法国的Turbo码)是一类高性能的前向纠错(FEC)1993开发的代码,这是第一个实用的代码接近信道容量,以可靠的通信仍然是可能的给定一个特定的噪声水平的编码率的理论最大值。Turbo码中使用的3G移动通信和(太空)以及其他应用程序的设计师寻求在带宽或延迟受限的通信链路的数据损坏噪音的存在实现可靠的信息传输卫星通信。Turbo码与LDPC码是目前竞争,提供类似的性能。 请点击左侧文件开始预览 !预览只提供20%的代码片段,完整代码需下载后查看 加载中 侵权举报

1
下载
23
浏览
2023-04-25发布

16. 用VHDL语言编程完成数码管0

用VHDL语言编程完成数码管0-255数字的显示-VHDL language programming with the 0-255 number to complete the display of the digital control

2
下载
30
浏览
2023-04-25发布

17. 使用Veriolog hdl 编写手机屏测试程序.

使用Veriolog hdl 编写手机屏测试程序.-Veriolog hdl prepared to use cell phone screen test.

2
下载
23
浏览
2023-04-25发布

18. 这是一个FPGA

这个是一个基于FPGA的数字图像的整数DCT变换程序,程序高性能地实现了2维DCT变换。-This is an FPGA-based digital image of the integer DCT transform process and procedures to achieve high-performance 2-D DCT transform.

1
下载
28
浏览
2023-04-23发布

19. I write the digital phase

本人写的数字锁相环,有模拟数据,学习锁相环很好的材料。参考书“数字锁相环路原理与应用”编写。-I write the digital phase-locked loop, have simulated data, a good phase-locked loop learning materials. Reference book

1
下载
32
浏览
2023-04-23发布

20. vga接口的工程实现,基于altera环境,需要的可以

vga接口的工程实现,基于altera环境,需要的可以-vga interface engineering implementation, based on altera environment, need to take a look at

1
下载
28
浏览
2023-04-22发布