登录

最新会员 最新下载

成为了本站VIP会员

04月30日 12:23

成为了本站VIP会员

04月29日 21:46

成为了本站VIP会员

04月26日 23:14

成为了本站VIP会员

04月25日 21:33

成为了本站VIP会员

04月23日 14:46

成为了本站VIP会员

04月18日 20:09
已选条件
  1. 编程语言:VHDL
  2. 代码类别:所有
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. VHDL design language based on 8

基于VHDL语言的设计8位CISC微处理器实例-VHDL design language based on 8-bit CISC microprocessor examples

1
下载
44
浏览
2023-06-06发布

2. Applicable to FPGA

适用于FPGA的SOPC方面的元器件添加,如COMPNENT-Applicable to FPGA-SOPC area to add components, such as COMPNENT

1
下载
159
浏览
2023-06-04发布

3. 用verilog写的很好的cpu core

用verilog写的很好的cpu core-using Verilog write a good cpu core

1
下载
24
浏览
2023-06-03发布

4. 并串转换

利用VHDL语言实现并串转换过程。利用VHDL语言实现并串转换过程。利用VHDL语言实现并串转换过程。利用VHDL语言实现并串转换过程。利用VHDL语言实现并串转换过程。

1
下载
94
浏览
2023-06-03发布

5. vcp201_code是FPGA的源代码。

VCP201_CODE is a FPGA source code.

1
下载
17
浏览
2023-06-03发布

6. 计算机组成原理课程设计(vhdl语言实现)

1. 一位全加器设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY add IS PORT(a,b,cin:IN STD_LOGIC; Co,S:OUT STD_LOGIC); END ENTITY add; ARCHITECTURE fc1 OF add is BEGIN S

1
下载
59
浏览
2023-06-03发布

7. 提供了100个vhdl硬件编程语言的例子,由简单到复杂

提供了100个vhdl硬件编程语言的例子,由简单到复杂-100 provides a hardware programming language VHDL examples, from simple to complex

1
下载
42
浏览
2023-06-02发布

8. State.Machine.Coding.Styles.for.Synthesis(状态机,英文,VHDL)

State.Machine.Coding.Styles.for.Synthesis(状态机,英文,VHDL)-State.Machine.Coding.Styles.for.Synthesis (FSM, English, VHDL)

1
下载
81
浏览
2023-06-02发布

9. 利 用 来 vhdl 设 计 p cm的 实 现

利 用 来 vhdl 设 计 p cm的 实 现-Vhdl design used for the realization of p cm

1
下载
16
浏览
2023-06-01发布

10. VHDL language learning paradigm, the FSK

学习VHDL语言的范例,有关FSK-VHDL language learning paradigm, the FSK

1
下载
83
浏览
2023-06-01发布

11. Practical program code, in the hope that useful to everybody, has debugging thro...

实用的程序代码,希望对大家有用,已经调试通过-Practical program code, in the hope that useful to everybody, has debugging through

1
下载
39
浏览
2023-05-31发布

12. 用Actel公司的Fusion系列FPGA开发的RTC实验程序

用Actel公司的Fusion系列FPGA开发的RTC实验程序-With Actel" s Fusion Series FPGA development of experimental procedures RTC

1
下载
48
浏览
2023-05-31发布

13. VHDL由IEEE 1076标准定义的,IEEE标准的VHDL语言参考人…

VHDL is defined by IEEE Standard 1076, IEEE Standard VHDL Language Reference Manual (the VHDL LRM). The original standard was approved in 1987. IEEE procedures require that standards be periodically reviewed and either reaffirmed or revised. The VHDL standard was revised in 1993, 2000, and 2002. In each revision, new language features were added and some existing features enhanced. The aim in each revision was to improve the language as a tool for design and verification of digital systems. Since the 2002 revision, there have two parallel efforts to further develop the language. The first was the VHDL Procedural Interface (VHPI) Task Force, a subcommittee of the IEEE P1076 Working Group. The VHPI Task Force prepared an interim amendment to the standard, formally approved by IEEE in March 2007. The amendment is titled IEEE 1076c, Standard VHDL Language Reference Manual―Amendment 1: Procedural Language Application Interface.

1
下载
52
浏览
2023-05-31发布

14. 实用的程序代码,希望对大家有用,已经调试通过

实用的程序代码,希望对大家有用,已经调试通过-Practical program code, in the hope that useful to everybody, has debugging through

1
下载
47
浏览
2023-05-31发布

15. 多倍(次)分频器 请注意: 本例的各个源描述的编译顺序应该是: 52_divider.vhd 52_divider_...

多倍(次)分频器 请注意: 本例的各个源描述的编译顺序应该是: 52_divider.vhd 52_divider_stim.vhd-Times (times) divider Please note: This case is described in various sources to compile the order should be: 52_divider.vhd 52_divider_stim.vhd

1
下载
25
浏览
2023-05-29发布

16. 基于fpga和xinlinx ise的串行通信vhdl程序,希望对你有所帮助!

基于fpga和xinlinx ise的串行通信vhdl程序,希望对你有所帮助!-xinlinx and ideally serial communications VHDL process, and I hope to help you!

1
下载
44
浏览
2023-05-29发布

17. 同步串行数据发送电路SSDT的基本功能是将并行数据转换成串行数据并进行同步发送。系统写入和读出时序完全兼容Intel8086时序。 系统以同步信号开始连续发...

同步串行数据发送电路SSDT的基本功能是将并行数据转换成串行数据并进行同步发送。系统写入和读出时序完全兼容Intel8086时序。 系统以同步信号开始连续发送四个字节,在发送中出现5个1时插入一个0,在四个数据发送结束而下一次同步没有开始之前,发送7FH,这时中间不需要插入零 -synchronous serial data transmission circuit SSDT the basic function is to convert parallel data into serial and the same this step. System write and read sequential fully compatible Intel8086 timing. Synchronized signal system to start sending four consecutive bytes, in this emerging 5 1:00 insert a 0, at the end of four data sent and the next synchronization not started before, sending seven FH, then the middle is not inserted

1
下载
39
浏览
2023-05-29发布

18. UART Basic, hardwired RS232 UART.

--##############################################################################

1
下载
22
浏览
2023-05-28发布

19. 数字相位

PLL是数字锁相环设计源程序, 其中, Fi是输入频率(接收数据), Fo(Q5)是本地输出频率. 目的是从输入数据中提取时钟信号(Q5), 其频率与数据速率一致, 时钟上升沿锁定在数据的上升和下降沿上;顶层文件是PLL.GDF-digital phase-locked loop PLL design source, in which Fi is the input frequency (receive data), Fo (Q5) is the local output frequency. Objective is to extract data input clock signal (Q5), its frequency and data rate line, the clock rising edge of the lock data the rising and falling edge; top-level document is PLL.GDF

1
下载
28
浏览
2023-05-28发布

20. 频率计介绍了用VHDL语言编写的频率计的程序,详细编写了如何测频,如何计数频率。...

频率计介绍了用VHDL语言编写的频率计的程序,详细编写了如何测频,如何计数频率。-Cymometer introduce VHDL language with the frequency of the procedure in detail how to prepare a frequency measurement, how to count the frequency.

1
下载
43
浏览
2023-05-28发布