登录

最新会员 最新下载

成为了本站VIP会员

今天21:42

成为了本站VIP会员

今天16:59

成为了本站VIP会员

05月09日 16:51

成为了本站VIP会员

05月09日 14:47

成为了本站VIP会员

05月08日 20:16

成为了本站VIP会员

05月08日 10:54
已选条件
  1. 编程语言:Verilog
  2. 代码类别:所有
  3. 发布时间:一周内
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. DDS信号发生器

描述了verilog实现的DDS信号发生器,可以经过FPGA验证,包括了代码实现以及书写。代码可以经过altera的EDA工具进行了验证,可以实现信号发生器的基本功能。希望大家珍惜,并好好学习。描述了verilog实现的DDS信号发生器,可以经过FPGA验证,包括了代码实现以及书写。代码可以经过altera的EDA工具进行了验证,可以实现信号发生器的基本功能。希望大家珍惜,并好好学习。描述了verilog实现的DDS信号发生器,可以经过FPGA验证,包括了代码实现以及书写。代码可以经过altera的EDA工具进行了验证,可以实现信号发生器的基本功能。希望大家珍惜,并好好学习。

1
下载
119
浏览
2023-06-06发布

2. NOC 模拟器

< 跨度 style="font-size:12.0pt;line-height:115%;font-family:"color:#272525 ;"> 芯片网络 (NoC) 被认为是下一代支持大量的处理核心 SoC 的新范式。NoC 结合均匀 processorsconstructing 双核 NoC (MCNoC) 的想法是一种方法为特定的用途,如加密技术实现高 computationalthroughput。表演示范研究 usecryptography 标准多但很少讨论这种标准的 suitableNoC。本文的目的就是把没有复杂的虚拟信道或管道技术提供高吞吐量的数据加密标准 (DES) 计算的 practicalmethodology fpga 的硬件资源。结果指出,基于网格的 NoC 数据包与 DES 规范处理元素 (PE) 设计可以实现伟大业绩 overprevious 作品

1
下载
51
浏览
2023-06-05发布

3. OFDM_Verilog实现

使用Verilog语法编写OFDM系统,可借鉴学习,包括发射和接收两部分,发射部分有时钟,映射,交织,加CP、长短训练符号等模块,接收部分有频偏估计,解交织,解映射,维特比译码等模块

2
下载
113
浏览
2023-06-03发布

4. 交通灯 verilog HDL 源代码

这是Verilog HDL的一个路灯的源代码。在电路板上的LED代表的绿色,黄色和红色light.After一些固定的时间内, LED将是命令打开或关闭。此外,时间会倒数,它会被董事会在屏幕上显示。它是为Verilog硬件描述语言的一个 新的学习者非常有用的。

1
下载
21
浏览
2023-06-03发布

5. baugh wooley codes

这是用于阵列乘法器baugh wooley 。这是写Verilog代码。它表明8位阵列乘法。这是输入含有8,8每输出有15位

1
下载
135
浏览
2023-06-03发布

6. 编码器-使用if else 语句

编码器 编码器是设备、 电路、 传感器、 软件程序、 算法或人 thatconverts 信息从一个格式或代码到另一个,为标准化、 速度、 保密、 安全、 或通过收缩大小节省空间的目的。 简单的编码器 一种简单的编码器电路可以接收单个活动输入 2 n 输入的行上生成二进制代码 n 并行输出线。 请点击左侧文件开始预览 !预览只提供20%的代码片段,完整代码需下载后查看 加载中 侵权举报 打分 发表评论 暂无评论

1
下载
159
浏览
2023-06-02发布

7. 华勒斯树乘法器

它是一种算法,它是用来在超大规模集成电路的乘法2

1
下载
169
浏览
2023-06-01发布

8. guardar 纪念馆 en 显示德 7 segmentos con 宝通德重置语言

电路在语言中建模与入席,保存和显示数据与一个重置按钮 7 分割。

1
下载
37
浏览
2023-05-29发布

9. IQ解调器

我必须做智商演示项目。我不知道写代码verilog.so版本请提供matlab和verilog在fpga中的编码实施iq解调器由以下模块组成:射频调制信号、混频器、低通过滤.it包含同相分量、正交分量。

1
下载
98
浏览
2023-05-28发布

10. PCIE_DM

此代码带实现PCIE RC端的RTL代码,详细的描述了RC端是如何工作的,工作性质基本与EP端类似。通过类似于网络中的包进行数据的接收与发送。 包含RTL代码和详细的文档说明。

1
下载
28
浏览
2023-05-27发布

11. 第八部分 EEPROM读写例程

在AX309开发板上有一个IIC接口的EEPROM芯片24LC04,容量大小为4Kbit,用户可以用来存放一些硬件设置数据或者用户信息。因为EEPROM为掉电后数据不丢失的存储芯片,另外用户也可以通过IIC总线对它进行多次编程。想要读写EEPROM,我们首先要理解IIC总线读写的时序。

1
下载
67
浏览
2023-05-27发布

12. verilog下miller米勒编解码

这个是verilog下miller米勒编解码,小实验。直接运行即可,将时间轴拉大即可看到具体波形。

1
下载
19
浏览
2023-05-26发布

13. 数控插补器设计

实现x轴,y轴的两项脉冲控制,利用圆弧插补法,基于verilog语言编程,很好的实现插补算法。程序可以在modelsim中仿真,显示所需输入输出信号及clk时钟、start开始、busy终止信号

1
下载
21
浏览
2023-05-25发布

14. IIC驱动 verilog

IIC驱动 verilog代码 !!!!!!!!!!!!! 开发板测试正常!!!!!!!!!!!!!!!!!

1
下载
28
浏览
2023-05-23发布

15. 具备GMII接口和ARP协议功能的千兆以太网控制器

具备GMII接口和ARP协议功能的千兆以太网控制器,经过Xilinx SPATAN-III FPGA验证

1
下载
21
浏览
2023-05-22发布

16. FIR滤波器Verilog实现代码

FIR滤波器Verilog实现代码,买的开发板教程里的,亲测可用!

2
下载
52
浏览
2023-05-20发布

17. xilinx设计指南书中源代码lab2

xilinx设计指南书中源代码lab2,书中所附实验源代码

1
下载
22
浏览
2023-05-20发布

18. 接口 LED 七段 verilog 源代码

此文件将帮助您了解如何理解和描述硬件软件二中的和在与 techbench 模型 sim 中模拟。此文件包括模块时钟分频器、 时钟计数器、 显示。谢谢你 !

1
下载
22
浏览
2023-05-19发布

19. ddr3 sdram 控制器

这个是 DDR3 SDRAM 控制器的 verilog 代码。欢迎下载和使用。谢谢您的支持!!!

1
下载
46
浏览
2023-05-18发布

20. 低成本的FPU

应用背景本设计的目的是实现对IEEE-754 1985标准的最低要求浮点运算,使用简单的算法,具有类似功能的要求。该项目的一个重要目标是提供一个设计,可能对单片机领域提供浮点计算的方便,无需对硬件的影响巨大消费或软件执行速度的缓慢。执行和规范的优先级,但通过仿真验证应该是执行,以证明最终实施的正确性。关键技术该体系结构可以概括为2个不同的标量管道,共用一个普通控制单元。除此之外,外部乘法器连接到重要 C PI的5。某些人需要一些O之间的数据传输这两家管道,因此它们是由几个数据线互连。该设计将实施浮点操作的实例所需的1985,通过仔细选择算法。为设计类似于通用处理器的流水线,这是明显的,选用的算法与现有的软件实现共享的特点如果IEEE-754。在SP eedup一个软件实现主要是通过一个更合适的数据宽度来实现的,以及利用以及一些硬数据的路由选择两管道。

1
下载
24
浏览
2023-05-18发布