登录

最新会员 最新下载

成为了本站VIP会员

04月30日 12:23

成为了本站VIP会员

04月29日 21:46

成为了本站VIP会员

04月26日 23:14

成为了本站VIP会员

04月25日 21:33

成为了本站VIP会员

04月23日 14:46

成为了本站VIP会员

04月18日 20:09
已选条件
  1. 编程语言:VHDL
  2. 代码类别:所有
  3. 发布时间:不限
全部撤销
编程语言 更多 收起
代码类别 更多 收起
发布时间
更多选项

1. Verilog 下脉冲发生器的源代码,可用于模拟三相交流电过零点,主要用于调试一些类似SVC(无功补偿)控制器的一些算法...

Verilog 下脉冲发生器的源代码,可用于模拟三相交流电过零点,主要用于调试一些类似SVC(无功补偿)控制器的一些算法-Pulse generator under the Verilog source code, can be used to simulate three-phase alternating current zero-crossing point, mainly for debugging similar SVC (reactive power compensation) controller of a number of algorithms

1
下载
34
浏览
2023-06-15发布

2. HDLC控制器

HDLC控制器源码,包括单独HDLC的Tx端和Rx端实现,以及顶层带FIFO和Wishbone总线控制器的实现,通过Wishbone可方便与CPU连接,通过软件控制整个HDLC控制器的工作。

1
下载
40
浏览
2023-06-14发布

3. SAP

SAP-1硬件描述语言(使用Verilog语言)

1
下载
29
浏览
2023-06-14发布

4. blif2vhdl格式转换工具

A BLIF to VHDL converter (51K compressed tar, with SunOS, Solaris, and Linux binaries. Source code (C++) included).

1
下载
43
浏览
2023-06-13发布

5. 8位深,9位宽FIFO VHDL源码设计,如需改进可在此基础上扩展

8位深,9位宽FIFO VHDL源码设计,如需改进可在此基础上扩展-8 deep, 9-bit wide FIFO VHDL source design, for improving on this basis can be extended

1
下载
40
浏览
2023-06-13发布

6. 5. For the key to enter a password lock, assuming that reset after the seven lam...

5对于进入密码锁的钥匙,假设复位后七节灯显示为" 0",而使用sw1、sw2两个,则sw2-> sw1-> sw1-> sw2,则表示解锁右侧将导致七节灯显示为" 8"

1
下载
19
浏览
2023-06-12发布

7. vhdl设计事例,有助于FPGA初学着,High

vhdl设计事例,有助于FPGA初学着,High-Performance 1024-Point Complex FFT-vhdl design examples, to help novice FPGA. High-Performance 1024-Point Complex FFT

1
下载
123
浏览
2023-06-12发布

8. fft变换三个中的一个(站长:三个代码算一个)

fft变换三个中的一个(站长:三个代码算一个)-one of the three fft transfermation code

1
下载
126
浏览
2023-06-12发布

9. Using VHDL realize the divider, so very, simulation adopted

用VHDL实现的除法器,非常好使,仿真通过了-Using VHDL realize the divider, so very, simulation adopted

1
下载
16
浏览
2023-06-11发布

10. Applicable to FPGA

适用于FPGA的SOPC方面的元器件添加,如COMPNENT-Applicable to FPGA-SOPC area to add components, such as COMPNENT

1
下载
37
浏览
2023-06-11发布

11. VHDL 算术逻辑单元ALU_复旦

我是复旦的研究生。这是用VHDL写的ALU,仿真通过,压缩包里包括了每个源代码,而且都有相应的testbench,你直接加入你的工程当中就可以进行验证。设计时。我使用Modelsim环境来编写的。

1
下载
46
浏览
2023-06-11发布

12. 基于FPGA的红外图像预处理系统的研究与设计,给fpga工程技术人员一个参考...

基于FPGA的红外图像预处理系统的研究与设计,给fpga工程技术人员一个参考-FPGA-based infrared image preprocessing system and design, engineering and technical personnel to fpga a reference

1
下载
34
浏览
2023-06-10发布

13. Input from the MIC for some audio and then AOUT interface from broadcast in the...

从MIC输入一段音频然后,再从AOUT的接口播放出来的verilog 的代码-Input from the MIC for some audio and then AOUT interface from broadcast in the Verilog code

1
下载
55
浏览
2023-06-09发布

14. 基于FPGA的温度计源代码,VHLL语言

基于FPGA的温度计源代码,VHLL语言-Thermometer-based FPGA source code, VHLL language

1
下载
133
浏览
2023-06-09发布

15. FPGA

基于FPGA的图像采集卡的设计与相关说明-FPGA-based design of frame grabbers and related note

1
下载
83
浏览
2023-06-09发布

16. 华为如何规范软件代码写作,

为华为内部文件,用于华为内部员工培训,对我们从事科研的人来说,这是个一个非常好的材料,可以学习华为文档管理规范,和代码编写规范。当然了也学习华为如何化繁为简,让员工迅速掌握关键技术。这个一个非常值得学习揣摩的材料

1
下载
37
浏览
2023-06-09发布

17. Xilinx ISE 8.2i s license

Xilinx ISE 8.2i的license-Xilinx ISE 8.2i s license

1
下载
57
浏览
2023-06-08发布

18. 一个基于FPGA的数字跑表系统的设计,最小单位是百分表位。采用十进制进位。...

一个基于FPGA的数字跑表系统的设计,最小单位是百分表位。采用十进制进位。-FPGA-based digital stopwatch system design, the smallest unit is a digital dial indicator. Binary using the metric system.

1
下载
16
浏览
2023-06-07发布

19. verilog实现的“并行输入、并行输出移位寄存器”

verilog实现的“并行输入、并行输出移位寄存器”-verilog to achieve a " parallel input, parallel output shift register"

1
下载
67
浏览
2023-06-06发布

20. 82 VHDL, verilog test case, involving a variety of grammatical rules. which is...

包括VHDL、verilog在内的各种设计实例,是学习硬件描述语言的帮手。共有82个实验例子,涉及各种语法规则。-82 VHDL, verilog test case, involving a variety of grammatical rules. which is you learn the HDL language helper.

1
下载
198
浏览
2023-06-06发布